q3kmips/rtl/verilog/qm_fetch.v

10 lines
168 B
Coq
Raw Normal View History

2014-09-07 13:48:43 +00:00
module qm_fetch(
/// datapath
// output instruction register
output wire [31:0] do_IR,
// output next pc
output wire [31:0] do_NextPC
);
endmodule